top of page

Extreme Ultra-Violet (EUV) breaks the 10 nanometer barrier

Extreme Ultra-Violet (EUV) breaks the 10 nanometer barrier

- Domestic enterprises and academia level up in the field of semiconductor EUV lithography –




The birth of innovative artificial intelligence such as AlphaGo has been made possible by semiconductor manufacturing technologies for faster and highly integrated semiconductors with high-performance and low-power consumption. One such key technology, which draws fine circuit patterns by using light in order to manufacture such high-performance and low-power semiconductors, is photolithography (or lithography).



The shorter the wavelength of light gets, the finer semiconductor circuit patterns can be drawn, much as a finer drawing is possible with a mechanical pencil with a 0.3mm lead than one with a 0.7mm lead. For example, if circuit line width is reduced by half, the size of a unit device is reduced by 1/4, so 4 times as many devices can be manufactured in the same area, and also the length of electric wirings involved gets shortened. As a result, semiconductors with high-performance and low-power consumption can be produced.


Previously, when conventional argon fluoride (ArF) laser beam techniques were being used, passing the barrier of line width patterns under 10 nanometers (1mm is 1m/1,000,000,000) was difficult even by adopting immersion and multi-exposure techniques.



EUV (Extreme Ultra-Violet) lithography draws semiconductor circuit patterns by using extreme ultraviolet light having a wavelength which is 1/10 shorter than that of an ArF laser. Such extremely short wavelength is essential to drawing hyperfine circuit patterns of less than 10 nanometers.


EUV lithography is a high-grade integration of technologies such as multilayer mirrors, multilayer masks, pellicles, lights and resists, etc. Over the last decade, global corporations including Samsung Electronics Co., Ltd. have been researching and developing this technology in order to preempt the technology, and recently this technology has firstly been adopted to mass production of five-nanometer mobile application processors (AP), going beyond even seven-nanometers.


According to an analysis for patent application filings related to EUV lithography over the most recent 10 years (from 2011 to 2020) by the Korean Intellectual Property Office (KIPO), the number of filings reached a peak of 88 cases in 2014, 55 cases in 2018 and 50 cases in 2019, etc., and from 2019 especially, the number of applications filed by domestic applicants exceeded those filed by foreigners. Accordingly, it is analyzed that domestic technology is entering into a growth phase.



Annual status of filing applications related to EUV lithography (from 2011 to 2020)

(*‘2020 includes applications filed up to September 30.)


By corporation, Carl Zeiss (Germany) took 18%, followed by Samsung Electronics Co., Ltd. (Korea) at 15%, ASML (Netherlands) took 11%, S&S TECH. (Korea) took 8%, TSMC (Taiwan) took 6% and SK HYNIX INC. (Korea) took 1%, which shows that the top six global corporations took 59% of all such application filings.



Application filing status of top six applicants (from 2011 to 2020)


By detailed technology, process technology took 32% followed by lithography technology with 31%, masks took 28% and others took 9%. In the field of process technology, Samsung Electronics Co., Ltd. accounted for 39% and TSMC took 15%, which means the two corporations filed 54% of such applications. In the field of masks, S&S TECH. took 28%, Hoya Corporation (Japan) accounted for 15%, Hanyang University (Korea) took 10% followed by AGC Inc. (Japan, formerly Asahi Glass Co., Ltd.) at 10% and Samsung Electronics Co., Ltd. at 9%.



Application filing status by detailed technology


Share of process technology field


Share of lithography field


Share of mask field


Team manager of the Semiconductor Examination Division of KIPO stated, “Domestic corporations and academia are showing great achievements in the fields of EUV lithography process and masks, and alongside the Fourth Industrial Revolution, the EUV lithography process will become more important in order to manufacture high-performance and low-power semiconductors.” He added, ”In the field of lithography, it is required to secure strong intellectual property for protecting the technologies along with research and development for technological independence.”




[Source: KIPO]



Loading ....
bottom of page